skip to main content
10.5555/789083.1022706acmconferencesArticle/Chapter ViewAbstractPublication PagesdateConference Proceedingsconference-collections
Article

Statistical Timing Analysis Using Bounds

Published: 03 March 2003 Publication History

Abstract

The growing impact of within-die process variation has created the need for statistical timing analysis, where gate delays are modeled as random variables. Statistical timing analysis has traditionally suffered from exponential run time complexity with circuit size, due to the dependencies created by reconverging paths in the circuit. In this paper we propose a new approach to statistical timing analysis which uses statistical bounds. First, we provide a formal definition of the statistical delay of a circuit and derive a statistical timing analysis method from this definition. Since this method for finding the exact statistical delay has exponential run time complexity with circuit size, we also propose a new method for computing statistical bounds which has linear run time complexity. We prove the correctness of the proposed bounds. Since we provide both a lower and upper bound on the true statistical delay we can determine the quality of the bounds. The proposed methods were implemented and tested on benchmark circuits. The results demonstrate that the proposed bounds have only a small error.

References

[1]
{1} Hitchcock, R.B. "Timing verification and the Timing Analysis program", Proc., Design Automation Conf., 1982, pp. 594-604
[2]
{2} Jouppi, N.P. "Timing analysis for nMOS VLSI", IEEE/ACM Design Automation Conf., 1983, pp. 411-418
[3]
{3} Feller, W., P. "An Introduction to Probability Theory and its Applications", Vol. 1,2 John Wiley & Sons, New York, 1970.
[4]
{4} J.J Liou, K.T. Cheng, S. Kundu, A. Krstic, "Fast Statistical Timing Analysis By Probabilistic Even Propagation", DAC 2001
[5]
{5} Devadas, S.; Jyu, H.F.; Keutzer, K.; Malik, S. "Statistical timing analysis of combinational circuits", ICCD 1992 pp. 38-43
[6]
{6} M. Berkelaar, "Statistical Delay Calculation, a Linear Time Method," Proc. of TAU, 1997.
[7]
{7} R.B. Brawhear, N. Menezes, C. Oh, L. Pillage, R. Mercer, "Predicting circuit performance using circuit-level statistical timing analysis" European Design and Test Conference, 1994.
[8]
{8} R.-B. Lin; M.-C. Wu, "A new statistical approach to timing analysis of VLSI circuits", Proc. Int. Conf. on VLSI Design, 1998
[9]
{9} M. Orshansky, K. Keutzer, "A general probabilistic framework for worst-case timing analysis", Proc. DAC 2002.
[10]
{10} F. Brglez, H.Fujiwara, "A Neutral Netlist of 10 Combinatorial Benchmark Circuits", Proc. IEEE ISCAS, 1985, pp. 695-698
[11]
{11} M. Orshansky, L. Milor, P. Chen, K. Keutzer, C. Hu, "Impact of systematic spatial intra-chip gate length variability on performance of high-speed digital circuits", ICCAD 2000, pp. 62-67.
[12]
{12} F. Najm, R. Burch, P. Yang, I. Hajj, "Probabilistic simulation for reliability analysis of CMOS VLSI circuits" IEEE Trans. on CAD, Volume: 9 Issue: 4, April 1990

Cited By

View all
  • (2010)Timing modeling for digital sub-threshold circuitsProceedings of the Conference on Design, Automation and Test in Europe10.5555/1870926.1870999(299-302)Online publication date: 8-Mar-2010
  • (2009)Accelerating statistical static timing analysis using graphics processing unitsProceedings of the 2009 Asia and South Pacific Design Automation Conference10.5555/1509633.1509705(260-265)Online publication date: 19-Jan-2009
  • (2008)Non-Gaussian statistical timing models of die-to-die and within-die parameter variations for full chip analysisProceedings of the 2008 Asia and South Pacific Design Automation Conference10.5555/1356802.1356879(292-297)Online publication date: 21-Jan-2008
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
DATE '03: Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
March 2003
1112 pages
ISBN:0769518702

Sponsors

Publisher

IEEE Computer Society

United States

Publication History

Published: 03 March 2003

Check for updates

Qualifiers

  • Article

Conference

DATE03
Sponsor:

Acceptance Rates

Overall Acceptance Rate 518 of 1,794 submissions, 29%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 16 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2010)Timing modeling for digital sub-threshold circuitsProceedings of the Conference on Design, Automation and Test in Europe10.5555/1870926.1870999(299-302)Online publication date: 8-Mar-2010
  • (2009)Accelerating statistical static timing analysis using graphics processing unitsProceedings of the 2009 Asia and South Pacific Design Automation Conference10.5555/1509633.1509705(260-265)Online publication date: 19-Jan-2009
  • (2008)Non-Gaussian statistical timing models of die-to-die and within-die parameter variations for full chip analysisProceedings of the 2008 Asia and South Pacific Design Automation Conference10.5555/1356802.1356879(292-297)Online publication date: 21-Jan-2008
  • (2008)Signal probability based statistical timing analysisProceedings of the conference on Design, automation and test in Europe10.1145/1403375.1403513(562-567)Online publication date: 10-Mar-2008
  • (2008)Spatial correlation extraction via random field simulation and production chip performance regressionProceedings of the conference on Design, automation and test in Europe10.1145/1403375.1403502(527-532)Online publication date: 10-Mar-2008
  • (2006)Guaranteeing performance yield in high-level synthesisProceedings of the 2006 IEEE/ACM international conference on Computer-aided design10.1145/1233501.1233561(303-309)Online publication date: 5-Nov-2006
  • (2006)Statistical gate delay calculation with crosstalk alignment considerationProceedings of the 16th ACM Great Lakes symposium on VLSI10.1145/1127908.1127961(223-228)Online publication date: 30-Apr-2006
  • (2006)Implementation of MOSFET based capacitors for digital applicationsProceedings of the 16th ACM Great Lakes symposium on VLSI10.1145/1127908.1127952(180-186)Online publication date: 30-Apr-2006
  • (2006)Statistical Bellman-Ford algorithm with an application to retimingProceedings of the 2006 Asia and South Pacific Design Automation Conference10.1145/1118299.1118514(959-964)Online publication date: 24-Jan-2006
  • (2006)Statistical crosstalk aggressor alignment aware interconnect delay calculationProceedings of the 2006 international workshop on System-level interconnect prediction10.1145/1117278.1117297(91-97)Online publication date: 4-Mar-2006
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media