skip to main content
10.5555/2492708.2492988acmconferencesArticle/Chapter ViewAbstractPublication PagesdateConference Proceedingsconference-collections
research-article

Workload-aware voltage regulator optimization for power efficient multi-core processors

Published: 12 March 2012 Publication History

Abstract

Modern multi-core processors use power management techniques such as dynamic voltage and frequency scaling (DVFS) and clock gating (CG) which cause the processor to operate in various performance and power states depending on runtime workload characteristics. A voltage regulator (VR), which is designed to provide power to the processor at its highest performance level, can significantly degrade in efficiency when the processor operates in the deep power saving states. In this paper, we propose VR optimization techniques to improve the energy efficiency of the processor + VR system by using the workload dependent P- and C-state residency of real processors. Our experimental results for static VR optimization show up to 19%, 20%, and 4% reduction in energy consumption for workstation, mobile and server multi-core processors. We also investigate the effect of dynamically changing VR parameters on the energy efficiency compared to the static optimization.

References

[1]
J Ted DiBene II et al., "A 400 Amp Fully Integrated Silicon Voltage with In-die Magnetically Coupled Embedded Inductors," in Applied Power Electronics Conference, Palm Springs, CA, 2010.
[2]
P. Hazucha et al., "A 233MHz, 80-87% efficient, integrated, 4-phase DC-DC converter in 90nm CMOS," in Symposium on VLSI Circuits, 2004.
[3]
G. Schrom et al., "Optimal Design of Monolithic Integrated DC-DC Converters," in International Conference on Integrated Circuit Design and Technology, 2006.
[4]
Predictive Technology Model (PTM). {Online}. http://ptm.asu.edu

Cited By

View all
  • (2017)ThermoGaterACM SIGARCH Computer Architecture News10.1145/3140659.308025045:2(120-132)Online publication date: 24-Jun-2017
  • (2017)ThermoGaterProceedings of the 44th Annual International Symposium on Computer Architecture10.1145/3079856.3080250(120-132)Online publication date: 24-Jun-2017
  • (2017)Energy-Efficient Power Delivery System Paradigms for Many-Core ProcessorsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2016.258405636:3(449-462)Online publication date: 1-Mar-2017
  • Show More Cited By

Index Terms

  1. Workload-aware voltage regulator optimization for power efficient multi-core processors

          Recommendations

          Comments

          Information & Contributors

          Information

          Published In

          cover image ACM Conferences
          DATE '12: Proceedings of the Conference on Design, Automation and Test in Europe
          March 2012
          1690 pages
          ISBN:9783981080186

          Sponsors

          Publisher

          EDA Consortium

          San Jose, CA, United States

          Publication History

          Published: 12 March 2012

          Check for updates

          Author Tags

          1. C-state
          2. DVFS
          3. P-state
          4. switching voltage regulator

          Qualifiers

          • Research-article

          Conference

          DATE '12
          Sponsor:
          • EDAA
          • EDAC
          • SIGDA
          • The Russian Academy of Sciences
          DATE '12: Design, Automation and Test in Europe
          March 12 - 16, 2012
          Dresden, Germany

          Acceptance Rates

          Overall Acceptance Rate 518 of 1,794 submissions, 29%

          Contributors

          Other Metrics

          Bibliometrics & Citations

          Bibliometrics

          Article Metrics

          • Downloads (Last 12 months)1
          • Downloads (Last 6 weeks)0
          Reflects downloads up to 16 Oct 2024

          Other Metrics

          Citations

          Cited By

          View all
          • (2017)ThermoGaterACM SIGARCH Computer Architecture News10.1145/3140659.308025045:2(120-132)Online publication date: 24-Jun-2017
          • (2017)ThermoGaterProceedings of the 44th Annual International Symposium on Computer Architecture10.1145/3079856.3080250(120-132)Online publication date: 24-Jun-2017
          • (2017)Energy-Efficient Power Delivery System Paradigms for Many-Core ProcessorsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2016.258405636:3(449-462)Online publication date: 1-Mar-2017
          • (2014)VRConProceedings of the conference on Design, Automation & Test in Europe10.5555/2616606.2617120(1-6)Online publication date: 24-Mar-2014
          • (2014)SuperRangeProceedings of the conference on Design, Automation & Test in Europe10.5555/2616606.2616786(1-6)Online publication date: 24-Mar-2014
          • (2014)Regulator-gatingProceedings of the 24th edition of the great lakes symposium on VLSI10.1145/2591513.2591524(105-110)Online publication date: 20-May-2014
          • (2013)Characterizing and evaluating voltage noise in multi-core near-threshold processorsProceedings of the 2013 International Symposium on Low Power Electronics and Design10.5555/2648668.2648689(82-87)Online publication date: 4-Sep-2013

          View Options

          Get Access

          Login options

          View options

          PDF

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader

          Media

          Figures

          Other

          Tables

          Share

          Share

          Share this Publication link

          Share on social media