skip to main content
10.1145/3600006.3613143acmconferencesArticle/Chapter ViewAbstractPublication PagessospConference Proceedingsconference-collections
research-article

Siloz: Leveraging DRAM Isolation Domains to Prevent Inter-VM Rowhammer

Published: 23 October 2023 Publication History

Abstract

Today's cloud DRAM lacks strong isolation primitives, highlighted by Rowhammer bit flips. Rowhammer poses an increasing threat to cloud security/reliability, given (1) DRAM activation rates in commodity and malicious workloads already exceed Rowhammer thresholds, and (2) thresholds are decreasing in newer DRAM. Deployed hardware mitigations remain vulnerable, turning cloud providers toward software defenses. However, existing defenses incur high performance or memory overhead or contain significant protection gaps.
Accordingly, we introduce Siloz, a hypervisor that uses subarray groups as DRAM isolation domains to enable efficient protection against inter-VM Rowhammer. Siloz exploits the insights that (a) Rowhammer can only flip bits in DRAM rows located in the same subarray---not across subarrays---and (b) VMs can be isolated to groups of subarrays without sacrificing bank-level parallelism, a key component of DRAM performance. Siloz thus prevents inter-VM bit flips by placing each VM's and the host's data into private subarray groups. To additionally ensure that a VM cannot escape its provisioned subarray group(s), Siloz provides integrity protection for extended page tables (EPTs). We show that Siloz's implementation has negligible effect on average performance across various cloud workloads, SPEC CPU 2017, and PARSEC 3.0 (within �0.5% of baseline Linux/KVM).

References

[1]
Alexandru Agache, Marc Brooker, Alexandra Iordache, Anthony Liguori, Rolf Neugebauer, Phil Piwonka, and Diana-Maria Popa. Firecracker: Lightweight Virtualization for Serverless Applications. In USENIX Symposium on Networked Systems Design and Implementation (NSDI), 2020.
[2]
Abdullah Aljuhni, C Edward Chow, Amer Aljaedi, Shaji Yusuf, and Francisco Torres-Reyes. Towards Understanding Application Performance and System Behavior with the Full Dynticks Feature. In IEEE Computing and Communication Workshop and Conference (CCWC), 2018.
[3]
AMD. AMD Secure Encrypted Virtualization (SEV), 2020. https://developer.amd.com/sev/.
[4]
Rakesh Anigundi, Hongbin Sun, Jian-Qiang Lu, Ken Rose, and Tong Zhang. Architecture Design Exploration of Three-Dimensional (3D) Integrated DRAM. In International Symposium on Quality Electronic Design, 2009.
[5]
Zelalem Birhanu Aweke, Salessawi Ferede Yitbarek, Rui Qiao, Reetuparna Das, Matthew Hicks, Yossi Oren, and Todd Austin. ANVIL: Software-Based Protection Against Next-Generation Rowhammer Attacks. In ACM SIGARCH Computer Architecture News (CAN), 2016.
[6]
Kuljit Bains, John Halbert, Christopher Mozak, Theodore Schoenborn, and Zvika Greenfield. Row Hammer Refresh Command, 2015. US Patent 9,117,544.
[7]
Majed Valad Beigi, Yi Cao, Sudhanva Gurumurthi, Charles Recchia, Andrew Walton, and Vilas Sridharan. A Systematic Study of DDR4 DRAM Faults in the Field. In IEEE International Symposium on High Performance Computer Architecture (HPCA), 2023.
[8]
Fabrice Bellard. QEMU, a Fast and Portable Dynamic Translator. In USENIX Annual Technical Conference (ATC), 2005.
[9]
Tanj Bennett, Stefan Saroiu, Alec Wolman, and Lucian Cojocar. Panopticon: A Complete In-DRAM Rowhammer Mitigation. In Workshop on DRAM Security (DRAMSec), 2021.
[10]
Christian Bienia, Sanjeev Kumar, Jaswinder Pal Singh, and Kai Li. The PARSEC Benchmark Suite: Characterization and Architectural Implications. In IEEE International Conference on Parallel Architectures and Compilation Techniques (PACT), 2008.
[11]
Carsten Bock, Ferdinand Brasser, David Gens, Christopher Liebchen, and Ahamd-Reza Sadeghi. RIP-RH: Preventing Rowhammer-Based Inter-Process Attacks. In ACM Asia Conference on Computer and Communications Security (Asia CCS), 2019.
[12]
Ferdinand Brasser, Lucas Davi, David Gens, Christopher Liebchen, and Ahmad-Reza Sadeghi. CAn't Touch This: Software-only Mitigation against Rowhammer Attacks targeting Kernel Memory. In USENIX Security Symposium (USENIX Security), 2017.
[13]
James Bucek, Klaus-Dieter Lange, and J�akim v. Kistowski. SPEC CPU2017: Next-Generation Compute Benchmark. In Companion of the 2018 ACM/SPEC International Conference on Performance Engineering, 2018.
[14]
Josiah Carlson. Redis in Action. Simon and Schuster, 2013.
[15]
Michael Andrew Carlton, Sean P Blanchard, and Nathan A Debardeleben. Improving Memory Error Handling Using Linux. Technical report, Los Alamos National Lab., 2014.
[16]
J. Carter, W. Hsieh, L. Stoller, M. Swanson, Lixin Zhang, E. Brunvand, A. Davis, Chen-Chi Kuo, R. Kuramkote, M. Parker, L. Schaelicke, and T. Tateyama. Impulse: Building a Smarter Memory Controller. In IEEE International Symposium on High Performance Computer Architecture (HPCA), 1999.
[17]
Anirban Chakraborty, Manaar Alam, and Debdeep Mukhopadhyay. Deep Learning Based Diagnostics for Rowhammer Protection of DRAM Chips. In IEEE Asian Test Symposium (ATS), 2019.
[18]
Kevin K Chang, Prashant J Nair, Donghyuk Lee, Saugata Ghose, Moinuddin K Qureshi, and Onur Mutlu. Low-Cost Inter-Linked Subarrays (LISA): Enabling Fast Inter-Subarray Data Movement in DRAM. In IEEE International Symposium on High Performance Computer Architecture (HPCA), 2016.
[19]
Kevin Kai-Wei Chang, Donghyuk Lee, Zeshan Chishti, Alaa R Alameldeen, Chris Wilkerson, Yoongu Kim, and Onur Mutlu. Improving DRAM Performance by Parallelizing Refreshes with Accesses. In IEEE International Symposium on High Performance Computer Architecture (HPCA), 2014.
[20]
Pau-Chen Cheng, Wojciech Ozga, Enriquillo Valdez, Salman Ahmed, Zhongshu Gu, Hani Jamjoom, Hubertus Franke, and James Bottomley. Intel TDX Demystified: A Top-Down Approach. arXiv preprint arXiv:2303.15540, 2023.
[21]
Keewon Cho, Wooheon Kang, Hyungjun Cho, Changwook Lee, and Sungho Kang. A Survey of Repair Analysis Algorithms for Memories. ACM Computing Surveys (CSUR), 2016.
[22]
Yaakov Cohen, Kevin Sam Tharayil, Arie Haenel, Daniel Genkin, Angelos D Keromytis, Yossi Oren, and Yuval Yarom. HammerScope: Observing DRAM Power Consumption Using Rowhammer. In ACM SIGSAC Conference on Computer and Communications Security (CCS), 2022.
[23]
Lucian Cojocar, Jeremie Kim, Minesh Patel, Lillian Tsai, Stefan Saroiu, Alec Wolman, and Onur Mutlu. Are We Susceptible to Rowhammer? An End-to-End Methodology for Cloud Providers. In IEEE Symposium on Security and Privacy (S&P), 2020.
[24]
Lucian Cojocar, Kevin Loughlin, Stefan Saroiu, Baris Kasikci, and Alec Wolman. mFIT: A Bump-in-the-Wire Tool for Plug-and-Play Analysis of Rowhammer Susceptibility Factors. Microsoft Tech Report, 2021.
[25]
Lucian Cojocar, Kaveh Razavi, Cristiano Giuffrida, and Herbert Bos. Exploiting Correcting Codes: On the Effectiveness of ECC Memory Against Rowhammer Attacks. In IEEE Symposium on Security and Privacy (S&P), 2019.
[26]
Brian F Cooper, Adam Silberstein, Erwin Tam, Raghu Ramakrishnan, and Russell Sears. Benchmarking Cloud Serving Systems with YCSB. In ACM symposium on Cloud computing, 2010.
[27]
Victor Costan and Srinivas Devadas. Intel SGX Explained. Cryptology ePrint Archive, 2016.
[28]
Finn de Ridder, Pietro Frigo, Emanuele Vannacci, Herbert Bos, Cristiano Giuffrida, and Kaveh Razavi. SMASH: Synchronized Many-sided Rowhammer Attacks from JavaScript. In USENIX Security Symposium (USENIX Security), 2021.
[29]
Peter W Deutsch, Yuheng Yang, Thomas Bourgeat, Jules Drean, Joel S Emer, and Mengjia Yan. DAGguise: Mitigating Memory Timing Side Channels. In International Conference on Architectural Support for Programming Languages and Operating Systems, 2022.
[30]
The QEMU Project Developers. The Memory API. qemu.readthedocs.io/en/latest/devel/memory.html, 2022.
[31]
Andrea Di Dio, Koen Koning, Herbert Bos, and Cristiano Giuffrida. Copy-on-Flip: Hardening ECC Memory Against Rowhammer Attacks. In Network and Distributed System Security (NDSS) Symposium, 2023.
[32]
Yaozu Dong, Xiaowei Yang, Jianhui Li, Guangdeng Liao, Kun Tian, and Haibing Guan. High Performance Network Virtualization with SR-IOV. Journal of Parallel and Distributed Computing, 2012.
[33]
Chris Down. 5 Years of Cgroup v2: The Future of Linux Resource Control. USENIX Large Installation System Administration Conference, 2021.
[34]
Michael Fahr, Hunter Kippen, Andrew Kwong, Thinh Dang, Jacob Lichtinger, Dana Dachman-Soled, Daniel Genkin, Alexander Nelson, Ray Perlner, Arkady Yerukhimovich, and Daniel Apon. When Frodo Flips: End-to-End Key Recovery on FrodoKEM via Rowhammer. In ACM SIGSAC conference on computer and communications security (CCS), 2022.
[35]
Ali Fakhrzadehgan, Yale N Patt, Prashant J Nair, and Moinuddin K Qureshi. SafeGuard: Reducing the Security Risk from Row-Hammer via Low-Cost Integrity Protection. In IEEE International Symposium on High Performance Computer Architecture (HPCA), 2022.
[36]
Ali Fakhrzadehgan, Prakash Ramrakhyani, Moinuddin K Qureshi, and Mattan Erez. SecDDR: Enabling Low-Cost Secure Memories by Protecting the DDR Interface. arXiv preprint arXiv:2209.00685, 2022.
[37]
Pietro Frigo, Emanuele Vannacci, Hasan Hassan, Victor van der Veen, Onur Mutlu, Cristiano Giuffrida, Herbert Bos, and Kaveh Razavi. TRRespass: Exploiting the Many Sides of Target Row Refresh. In IEEE Symposium on Security and Privacy (S&P), 2020.
[38]
Varun Gandhi and James Mickens. Rethinking Isolation Mechanisms for Datacenter Multitenancy. In USENIX Workshop on Hot Topics in Cloud Computing (HotCloud 20), 2020.
[39]
Mohsen Ghasempour, Mikel Lujan, and Jim Garside. Armor: A RunTime Memory Hot-Row Detector, 2015.
[40]
Saugata Ghose, Tianshi Li, Nastaran Hajinazar, Damla Senol Cali, and Onur Mutlu. Demystifying Complex Workload-DRAM Interactions: An Experimental Study. In ACM on Measurement and Analysis of Computing Systems (POMACS), 2019.
[41]
Hector Gomez, Andres Amaya, and Elkim Roa. DRAM Row-Hammer Attack Reduction Using Dummy Cells. In IEEE Nordic Circuits and Systems Conference (NORCAS), 2016.
[42]
Daniel Gruss, Moritz Lipp, Michael Schwarz, Daniel Genkin, Jonas Juffinger, Sioli O'Connell, Wolfgang Schoechl, and Yuval Yarom. Another Flip in the Wall of Rowhammer Defenses. In IEEE Symposium on Security and Privacy (S&P), 2018.
[43]
Daniel Gruss, Clémentine Maurice, and Stefan Mangard. Rowhammer.js: A Remote Software-Induced Fault Attack in Javascript. In International Conference on Detection of Intrusions and Malware, and Vulnerability Assessment (DIMVA), 2016.
[44]
Hasan Hassan, Ataberk Olgun, Abdullah Giray Yağlıkçı, Haocong Luo, and Onur Mutlu. A Case for Self-Managing DRAM Chips: Improving Performance, Efficiency, Reliability, and Security via Autonomous in-DRAM Maintenance Operations. arXiv preprint arXiv:2207.13358, 2022.
[45]
Hasan Hassan, Minesh Patel, Jeremie S Kim, Abdullah Giray Yağlıkçı, Nandita Vijaykumar, Nika Mansouri Ghiasi, Saugata Ghose, and Onur Mutlu. Crow: A Low-Cost Substrate for Improving Dram Performance, Energy Efficiency, and Reliability. In ACM/IEEE International Symposium on Computer Architecture (ISCA), 2019.
[46]
Hasan Hassan, Yahya Can Tugrul, Jeremie S Kim, Victor Van der Veen, Kaveh Razavi, and Onur Mutlu. Uncovering In-DRAM RowHammer Protection Mechanisms: A New Methodology, Custom RowHammer Patterns, and Implications. In ACM/IEEE International Symposium on Microarchitecture (MICRO), 2021.
[47]
Red Hat. 2.14. Reduce CPU Performance Spikes. https://access.redhat.com/documentation/en-us/red_hat_enterprise_linux_for_real_time/7/html/tuning_guide/reduce_cpu_performance_spikes.
[48]
Marius Hillenbrand. Physical Address Decoding in Intel Xeon v3/v4 CPUs: A Supplemental Datasheet. Karlsruhe Institute of Technology, Tech. Rep., 2017.
[49]
Marius Hillenbrand, Mathias Gottschlag, Jens Kehne, and Frank Bellosa. Multiple Physical Mappings: Dynamic DRAM Channel Sharing and Partitioning. In ACM Asia Pacific Workshop on Systems (APSys), 2017.
[50]
Seungki Hong, Dongha Kim, Jaehyung Lee, Reum Oh, Changsik Yoo, Sangjoon Hwang, and Jooyoung Lee. DSAC: Low-Cost Rowhammer Mitigation using In-Dram Stochastic and Approximate Counting Algorithm. arXiv preprint arXiv:2302.03591, 2023.
[51]
Masashi Horiguchi and Kiyoo Itoh. Nanoscale Memory Repair. Springer Science & Business Media, 2011.
[52]
Chih-Sheng Hou, Yong-Xiao Chen, Jin-Fu Li, Chih-Yen Lo, Ding-Ming Kwai, and Yung-Fa Chou. A Built-In Self-Repair Scheme for DRAMs with Spare Rows, Columns, and Bits. In 2016 IEEE International Test Conference (ITC), 2016.
[53]
Intel. Architecture Specification: Intel Trust Domain Extensions (Intel TDX) Module, 2020. https://www.intel.com/content/dam/develop/external/us/en/documents/intel-tdx-module-1eas.pdf.
[54]
Intel. Intel Trust Domain Extensions (Intel TDX), 2022. https://software.intel.com/content/www/us/en/develop/articles/intel-trust-domain-extensions.html.
[55]
Intel. Introduction to Memory Bandwidth Allocation. intel.com/content/www/us/en/developer/articles/technical/introduction-to-memory-bandwidth-allocation.html, 2022.
[56]
Intel. SKX EDAC Linux Driver. github.com/torvalds/linux/blob/master/drivers/edac/skx_base.c, 2022.
[57]
Gorka Irazoqui, Thomas Eisenbarth, and Berk Sunar. Systematic Reverse Engineering of Cache Slice Selection in Intel Processors. In IEEE Euromicro Conference on Digital System Design, 2015.
[58]
Yeongjin Jang, Jaehyuk Lee, Sangho Lee, and Taesoo Kim. SGX-Bomb: Locking down the Processor via Rowhammer Attack. In Workshop on System Software for Trusted Execution (SysTEX), 2017.
[59]
Patrick Jattke, Victor van der Veen, Pietro Frigo, Stijn Gunter, and Kaveh Razavi. Blacksmith: Scalable Rowhammering in the Frequency Domain. In IEEE Symposium on Security and Privacy (S&P), 2022.
[60]
JEDEC. Double Data Rate 4 (DDR4) SDRAM Standard, 2014.
[61]
JEDEC. Low Power Double Data Rate 4 (LPDDR4) SDRAM Standard, 2017. JESD209-4B.
[62]
JEDEC. DDR4 Registering Clock Driver Definition (DDR4RCD02), 2019.
[63]
JEDEC. Double Data Rate 5 (DDR5) SDRAM Standard, 2020.
[64]
JEDEC. DDR5 Registering Clock Driver Definition (DDR5RCD02), 2023.
[65]
Sangwoo Ji, Youngjoo Ko, Saeyoung Oh, and Jong Kim. Pinpoint Rowhammer: Suppressing Unwanted Bit Flips on Rowhammer Attacks. In ACM Asia Conference on Computer and Communications Security (Asia CCS), 2019.
[66]
Biresh Kumar Joardar, Tyler K Bletsch, and Krishnendu Chakrabarty. Machine Learning-Based Rowhammer Mitigation. In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2022.
[67]
Jithin Jose, Hari Subramoni, Miao Luo, Minjia Zhang, Jian Huang, Md. Wasi-ur Rahman, Nusrat S. Islam, Xiangyong Ouyang, Hao Wang, Sayantan Sur, and Dhabaleswar K. Panda. Memcached Design on High Performance RDMA Capable Interconnects. In IEEE International Conference on Parallel Processing (ICPP), 2011.
[68]
Jonas Juffinger, Lukas Lamster, Andreas Kogler, Maria Eichlseder, Moritz Lipp, and Daniel Gruss. CSI: Rowhammer-Cryptographic Security and Integrity against Rowhammer. In IEEE Symposium on Security and Privacy (S&P), 2023. To appear.
[69]
David Kaplan, Jeremy Powell, and Tom Woller. AMD Memory Encryption. White paper, 2016.
[70]
Brent Keeth, R Jacob Baker, Brian Johnson, and Feng Lin. DRAM Circuit Design: Fundamental and High-Speed Topics, volume 13. John Wiley & Sons, 2007.
[71]
The kernel development community. NUMA Memory Policy. kernel.org/doc/html/latest/admin-guide/mm/numa_memory_policy.html, 2022.
[72]
Jeremie Kim, Minesh Patel, Hasan Hassan, and Onur Mutlu. SolarDRAM: Reducing DRAM Access Latency by Exploiting the Variation in Local Bitlines. In IEEE International Conference on Computer Design (ICCD), 2018.
[73]
Jeremie S Kim, Minesh Patel, Hasan Hassan, Lois Orosa, and Onur Mutlu. D-RaNGe: Using Commodity DRAM Devices to Generate True Random Numbers with Low Latency and High Throughput. In IEEE International Symposium on High Performance Computer Architecture (HPCA), 2019.
[74]
Jeremie S Kim, Minesh Patel, Abdullah Giray Yağlıkçı, Hasan Hassan, Roknoddin Azizi, Lois Orosa, and Onur Mutlu. Revisiting RowHammer: An Experimental Analysis of Modern DRAM Devices and Mitigation Techniques. In ACM/IEEE International Symposium on Computer Architecture (ISCA), 2020.
[75]
Jooyoung Kim, Woosung Lee, Keewon Cho, and Sungho Kang. Hardware-Efficient Built-In Redundancy Analysis for Memory with Various Spares. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2016.
[76]
Michael Jaemin Kim, Jaehyun Park, Yeonhong Park, Wanju Doh, Namhoon Kim, Tae Jun Ham, Jae W Lee, and Jung Ho Ahn. Mithril: Cooperative Row Hammer Protection on Commodity DRAM Leveraging Managed Refresh. arXiv preprint arXiv:2108.06703, 2021.
[77]
Moonsoo Kim, Jungwoo Choi, Hyun Kim, and Hyuk-Jae Lee. An Effective DRAM Address Remapping for Mitigating Rowhammer Errors. IEEE Transactions on Computers, 2019.
[78]
Woongrae Kim, Chulmoon Jung, Seongnyuh Yoo, Duckhwa Hong, Jeongjin Hwang, Jungmin Yoon, Ohyong Jung, Joonwoo Choi, Sanga Hyun, Mankeun Kang, Sangho Lee, Dohong Kim, Sanghyun Ku, Donhyun Choi, Nogeun Joo, Sangwoo Yoon, Junseok Noh, Byeongyong Go, Cheolhoe Kim, Sunil Hwang, Mihyun Hwang, Seol-Min Yi, Hyungmin Kim, Sanghyuk Heo, Yeonsu Jang, Kyoungchul Jang, Shinho Chu, Yoonna Oh, Kwidong Kim, Junghyun Kim, Soohwan Kim, Jeongtae Hwang, Sangil Park, Junphyo Lee, Inchul Jeong, Joohwan Cho, and Jonghwan Kim. A 1.1 V 16Gb DDR5 DRAM with Probabilistic-Aggressor Tracking, Refresh-Management Functionality, Per-Row Hammer Tracking, a Multi-Step Precharge, and Core-Bias Modulation for Security and Reliability Enhancement. In IEEE International Solid-State Circuits Conference (ISSCC). IEEE, 2023.
[79]
Yoongu Kim, Ross Daly, Jeremie Kim, Chris Fallin, Ji Hye Lee, Donghyuk Lee, Chris Wilkerson, Konrad Lai, and Onur Mutlu. Flipping Bits in Memory without Accessing Them: An Experimental Study of DRAM Disturbance Errors. In ACM/IEEE International Symposium on Computer Architecture (ISCA), 2014.
[80]
Yoongu Kim, Vivek Seshadri, Donghyuk Lee, Jamie Liu, and Onur Mutlu. A Case for Exploiting Subarray-Level Parallelism (SALP) in DRAM. In ACM/IEEE International Symposium on Computer Architecture (ISCA), 2012.
[81]
Vladimir Kiriansky, Ilia Lebedev, Saman Amarasinghe, Srinivas Devadas, and Joel Emer. DAWG: A Defense Against Cache Timing Attacks in Speculative Execution Processors. In ACM/IEEE International Symposium on Microarchitecture (MICRO), 2018.
[82]
Avi Kivity, Yaniv Kamay, Dor Laor, Uri Lublin, and Anthony Liguori. KVM: The Linux Virtual Machine Monitor. In Linux symposium, 2007.
[83]
Andreas Kogler, Jonas Juffinger, Salman Qazi, Yoongu Kim, Moritz Lipp, Nicolas Boichat, Eric Shiu, Mattias Nissler, and Daniel Gruss. Half-Double: Hammering From the Next Row Over. In USENIX Security Symposium (USENIX Security), 2022.
[84]
Radhesh Krishnan Konoth, Marco Oliverio, Andrei Tatar, Dennis Andriesse, Herbert Bos, Cristiano Giuffrida, and Kaveh Razavi. ZebRAM: Comprehensive and Compatible Software Protection Against Rowhammer Attacks. In USENIX Symposium on Operating Systems Design and Implementation (OSDI), 2018.
[85]
Alexey Kopytov. Sysbench Manual. MySQL AB, 2012.
[86]
Andrew Kwong, Daniel Genkin, Daniel Gruss, and Yuval Yarom. RAMBleed: Reading Bits in Memory Without Accessing Them. In IEEE Symposium on Security and Privacy (S&P), 2020.
[87]
Zhenrong Lang, Patrick Jattke, Michele Marazzi, and Kaveh Razavi. BLASTER: Characterizing the Blast Radius of Rowhammer. In Workshop on DRAM Security (DRAMSec), 2023.
[88]
Donghyuk Lee, Yoongu Kim, Vivek Seshadri, Jamie Liu, Lavanya Subramanian, and Onur Mutlu. Tiered-Latency DRAM: A Low Latency and Low Cost DRAM Architecture. In IEEE International Symposium on High Performance Computer Architecture (HPCA), 2013.
[89]
Eojin Lee, Ingab Kang, Sukhan Lee, G Edward Suh, and Jung Ho Ahn. TWiCe: Preventing Row-Hammering by Exploiting Time Window Counters. In ACM/IEEE International Symposium on Computer Architecture (ISCA), 2019.
[90]
Seunghak Lee, Nam Sung Kim, and Daehoon Kim. Exploiting OS-Level Memory Offlining for DRAM Power Management. IEEE Computer Architecture Letters (CAL), 2019.
[91]
Congmiao Li and Jean-Luc Gaudiot. Detecting Malicious Attacks Exploiting Hardware Vulnerabilities Using Performance Counters. In IEEE Computer Software and Applications Conference (COMPSAC), 2019.
[92]
Huaicheng Li, Daniel S. Berger, Lisa Hsu, Daniel Ernst, Pantea Zardoshti, Stanko Novakovic, Monish Shah, Samir Rajadnya, Scott Lee, Ishwar Agarwal, Mark D. Hill, Marcus Fontoura, and Ricardo Bianchini. Pond: CXL-Based Memory Pooling Systems for Cloud Platforms. In International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2023.
[93]
Moritz Lipp, Michael Schwarz, Lukas Raab, Lukas Lamster, Misiker Tadesse Aga, Cl�mentine Maurice, and Daniel Gruss. Nethammer: Inducing Rowhammer Faults Through Network Requests. In IEEE European Symposium on Security and Privacy Workshops (EuroS&PW), 2020.
[94]
Qi Liu, Jieming Yin, Wujie Wen, Chengmo Yang, and Shi Sha. NeuroPots: Realtime Proactive Defense against Bit-Flip Attacks in Neural Networks. In USENIX Security Symposium (USENIX Security), 2023.
[95]
Kevin Loughlin, Jonah Rosenblum, Stefan Saroiu, Alec Wolman, Dimitrios Skarlatos, and Baris Kasikci. Siloz Source Code. github.com/efeslab/siloz, 2023.
[96]
Kevin Loughlin, Stefan Saroiu, Alec Wolman, and Baris Kasikci. Stop! Hammer Time: Rethinking Our Approach to Rowhammer Mitigations. In Workshop on Hot Topics in Operating Systems (HotOS), 2021.
[97]
Kevin Loughlin, Stefan Saroiu, Alec Wolman, and Baris Kasikci. Software-Defined Memory Controllers: An Idea Whose Time Has Come. In Wild and Crazy Ideas (WACI) Session at ASPLOS, 2022.
[98]
Kevin Loughlin, Stefan Saroiu, Alec Wolman, Yatin A. Manerkar, and Baris Kasikci. MOESI-prime: Preventing Coherence-Induced Hammering in Commodity Workloads. In ACM/IEEE International Symposium on Computer Architecture (ISCA), 2022.
[99]
Robert Love. Kernel Korner: CPU Affinity. Linux Journal, 2003.
[100]
Shih-Lien Lu, Ying-Chen Lin, and Chia-Lin Yang. Improving DRAM Latency with Dynamic Asymmetric Subarray. In ACM/IEEE International Symposium on Microarchitecture (MICRO), 2015.
[101]
Haocong Luo, Ataberk Olgun, Abdullah Giray Yağlıkçı, Yahya Can Tuğrul, Steve Rhyner, Meryem Banu Cavlak, Joël Lindegger, Mohammad Sadrosadati, and Onur Mutlu. RowPress: Amplifying Read Disturbance in Modern DRAM Chips. In ACM/IEEE Annual International Symposium on Computer Architecture (ISCA), 2023.
[102]
Sangkug Lym, Heonjae Ha, Yongkee Kwon, Chun-kai Chang, Jungrae Kim, and Matta Erez. ERUCA: Efficient DRAM Resource Utilization and Resource Conflict Avoidance for Memory System Parallelism. In IEEE International Symposium on High Performance Computer Architecture (HPCA), 2018.
[103]
Jialun Lyu, Marisa You, Celine Irvene, Mark Jung, Tyler Narmore, Jacob Shapiro, Luke Marshall, Savyasachi Samal, Ioannis Manousakis, Lisa Hsu, Preetha Subbarayalu, Ashish Raniwala, Brijesh Warrier, Ricardo Bianchini, Bianca Schroeder, and Daniel S. Berger. Hyrax: Fail-in-Place Server Operation in Cloud Platforms. In USENIX Symposium on Operating Systems Design and Implementation (OSDI), 2023.
[104]
Michael M Madden. Challenges Using Linux as a Real-Time Operating System. In AIAA Scitech 2019 Forum, 2019.
[105]
Michele Marazzi, Patrick Jattke, Solt Flavien, and Kaveh Razavi. PROTRR: Principled yet Optimal In-DRAM Target Row Refresh. In IEEE Symposium on Security and Privacy (S&P), 2022.
[106]
Michele Marazzi, Flavien Solt, Patrick Jattke, Kubo Takashi, and Kaveh Razavi. REGA: Scalable Rowhammer Mitigation with Refresh-Generating Activations. In IEEE Symposium on Security and Privacy (S&P), 2023. To appear.
[107]
John McCalpin. Address Hashing in Intel Processors. UT Faculty/Researcher Works, 2018.
[108]
John D McCalpin. STREAM Benchmark. Link: www.cs.virginia.edu/stream/ref.html#what, 1995.
[109]
Microsoft. Hyper-V Virtual NUMA Overview. Microsoft Learn, 2016.
[110]
Microsoft. High Performance Computing VM Sizes, 2023.
[111]
Srikanta Kumar Mohapatra, Sankararao Majji, Prathipati Ratna Kumar, Ravula Arun Kumar, and Santoshachandra Rao Karanam. Authentication of Sub-NUMA Clustering Effect on Intel Skylake for Memory Latency and Bandwidth. Turkish Journal of Computer and Mathematics Education (TURCOMAT), 2021.
[112]
Thomas Moscibroda and Onur Mutlu. Memory Performance Attacks: Denial of Memory Service in Multi-Core Systems. In USENIX Security Symposium (USENIX Security), 2007.
[113]
Koksal Mus, Yarkın Doröz, M Caner Tol, Kristi Rahman, and Berk Sunar. Jolt: Recovering TLS Signing Keys via Rowhammer Faults. Cryptology ePrint Archive, 2022.
[114]
Onur Mutlu. Memory Scaling: A Systems Architecture Perspective. In IEEE International Memory Workshop, 2013.
[115]
Onur Mutlu. The RowHammer Problem and Other Issues We May Face as Memory Becomes Denser. In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
[116]
Onur Mutlu, Ataberk Olgun, and Abdullah Giray Yağlıkçı. Fundamentally Understanding and Solving RowHammer. arXiv preprint arXiv:2211.07613, 2022.
[117]
Hwayong Nam, Seungmin Baek, Minbok Wi, Michael Jaemin Kim, Jaehyun Park, Chihun Song, Nam Sung Kim, and Jung Ho Ahn. X-ray: Discovering DRAM Internal Structure and Error Characteristics by Issuing Memory Commands. IEEE Computer Architecture Letters (CAL), 2023.
[118]
Jack Norris. Package org.apache.hadoop.examples.terasort, 2013.
[119]
Ataberk Olgun, Majd Osseiran, Yahya Can Tuğrul, Haocong Luo, Steve Rhyner, Behzad Salami, Juan Gomez Luna, and Onur Mutlu. An Experimental Analysis of RowHammer in HBM2 DRAM Chips. In IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), 2023.
[120]
Lois Orosa, Abdullah Giray Yağlıkçı, Haocong Luo, Ataberk Olgun, Jisung Park, Hasan Hassan, Minesh Patel, Jeremie S Kim, and Onur Mutlu. A Deeper Look into RowHammer's Sensitivities: Experimental Analysis of Real DRAM Chipsand Implications on Future Attacks and Defenses. In ACM/IEEE International Symposium on Microarchitecture (MICRO), 2021.
[121]
Yeonhong Park, Woosuk Kwon, Eojin Lee, Tae Jun Ham, Jung Ho Ahn, and Jae W Lee. Graphene: Strong yet Lightweight Row Hammer Protection. In ACM/IEEE International Symposium on Microarchitecture (MICRO), 2020.
[122]
Peter Pessl, Daniel Gruss, Cl�mentine Maurice, Michael Schwarz, and Stefan Mangard. DRAMA: Exploiting DRAM Addressing for Cross-CPU Attacks. In USENIX Security Symposium (USENIX Security), 2016.
[123]
Rui Qiao and Mark Seaborn. A New Approach for Rowhammer Attacks. In IEEE International Symposium on Hardware Oriented Security and Trust (HOST), 2016.
[124]
Moinuddin Qureshi, Aditya Rohan, Gururaj Saileshwar, and Prashant J Nair. Hydra: Enabling Low-Overhead Mitigation of Row-Hammer at Ultra-Low Thresholds via Hybrid Tracking. In ACM/IEEE International Symposium on Computer Architecture (ISCA), 2022.
[125]
Kaveh Razavi, Ben Gras, Erik Bosman, Bart Preneel, Cristiano Giuffrida, and Herbert Bos. Flip Feng Shui: Hammering a Needle in the Software Stack. In USENIX Security Symposium (USENIX Security), 2016.
[126]
Kaveh Razavi and Animesh Trivedi. Stratus: Clouds with Microarchitectural Resource Management. In USENIX Workshop on Hot Topics in Cloud Computing (HotCloud), 2020.
[127]
Rusty Russell. Virtio: Towards a De-Facto Standard for Virtual I/O Devices. ACM SIGOPS Operating Systems Review, 42(5), 2008.
[128]
Gururaj Saileshwar, Bolin Wang, Moinuddin Qureshi, and Prashant J Nair. Randomized Row-Swap: Mitigating Row Hammer by Breaking Spatial Correlation Between Aggressor and Victim Rows. In International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2022.
[129]
Stefan Saroiu, Alec Wolman, and Lucian Cojocar. The Price of Secrecy: How Hiding Internal DRAM Topologies Hurts Rowhammer Defenses. In International Reliability Physics Symposium (IRPS), 2022.
[130]
Anish Saxena, Gururaj Saileshwar, Jonas Juffinger, Andreas Kogler, Daniel Gruss, and Moinuddin Qureshi. PT-Guard: Integrity-Protected Page Tables to Defend Against Breakthrough Rowhammer Attacks. In IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), 2023.
[131]
Anish Saxena, Gururaj Saileshwar, Prashant J Nair, and Moinuddin Qureshi. AQUA: Scalable Rowhammer Mitigation by Quarantining Aggressor Rows at Runtime. In ACM/IEEE International Symposium on Microarchitecture (MICRO), 2022.
[132]
Stijn Schildermans, Kris Aerts, Jianchen Shan, and Xiaoning Ding. Paratick: Reducing Timer Overhead in Virtual Machines. In International Conference on Parallel Processing, 2021.
[133]
Mark Seaborn and Thomas Dullien. Exploiting the DRAM Rowhammer Bug to Gain Kernel Privileges. Black Hat, 2015. See also http://googleprojectzero.blogspot.co/2015/03/exploiting-dram-rowhammer-bug-to-gain.html.
[134]
Seyed Mohammad Seyedzadeh, Alex K Jones, and Rami Melhem. Mitigating Wordline Crosstalk Using Adaptive Trees of Counters. In ACM/IEEE Annual International Symposium on Computer Architecture (ISCA), 2018.
[135]
Seyed Mohammad Seyedzadeh, Donald Kline Jr, Alex K Jones, and Rami Melhem. Mitigating Bitline Crosstalk Noise in DRAM Memories. In International Symposium on Memory Systems, 2017.
[136]
Ali Shafiee, Akhila Gundu, Manjunath Shevgoor, Rajeev Balasubramonian, and Mohit Tiwari. Avoiding Information Leakage in the Memory Controller with Fixed Service Policies. In ACM/IEEE International Symposium on Microarchitecture (MICRO), 2015.
[137]
Vipin Sharma. NUMA Aware Page Table's Page Allocation. LWN, 2022.
[138]
Suresh Siddha, Venkatesh Pallipadi, and AVD Ven. Getting Maximum Mileage out of Tickless. In Linux Symposium. Citeseer, 2007.
[139]
Young Hoon Son, O Seongil, Yuhwan Ro, Jae W Lee, and Jung Ho Ahn. Reducing Memory Access Latency with Asymmetric DRAM Bank Organizations. In ACM/IEEE International Symposium on Computer Architecture (ISCA), 2013.
[140]
Androski Spicer. Deep Dive on Amazon EC2, 2017.
[141]
Jovan Stojkovic, Dimitrios Skarlatos, Apostolos Kokolis, Tianyin Xu, and Josep Torrellas. Parallel Virtualized Memory Translation with Nested Elastic Cuckoo Page Tables. In International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2022.
[142]
Brian K Tanaka. Monitoring Virtual Memory with vmstat. Linux Journal, 2005.
[143]
Xulong Tang, Mahmut Kandemir, Praveen Yedlapalli, and Jagadish Kotra. Improving Bank-Level Parallelism for Irregular Applications. In ACM/IEEE International Symposium on Microarchitecture (MICRO), 2016.
[144]
Andrei Tatar, Cristiano Giuffrida, Herbert Bos, and Kaveh Razavi. Defeating Software Mitigations Against Rowhammer: A Surgical Precision Hammer. In International Symposium on Research in Attacks, Intrusions, and Defenses (RAID), 2018.
[145]
Youssef Tobah, Andrew Kwong, Ingab Kang, Daniel Genkin, and Kang G Shin. SpecHammer: Combining Spectre and Rowhammer for New Speculative Attacks. In IEEE Symposium on Security and Privacy (S&P), 2022.
[146]
Linus Torvalds et al. Linux Source Code. https://github.com/torvalds/linux, 2023.
[147]
Unified Extensible Firmware Interface UEFI. Advanced Configuration and Power Interface Specification. ACPI. INFO, Roseville, 2013.
[148]
Rich Uhlig, Gil Neiger, Dion Rodgers, Amy L Santoni, Fernando CM Martins, Andrew V Anderson, Steven M Bennett, Alain Kagi, Felix H Leung, and Larry Smith. Intel Virtualization Technology. Computer, 2005.
[149]
Victor Van Der Veen, Yanick Fratantonio, Martina Lindorfer, Daniel Gruss, Cl�mentine Maurice, Giovanni Vigna, Herbert Bos, Kaveh Razavi, and Cristiano Giuffrida. Drammer: Deterministic Rowhammer Attacks on Mobile Platforms. In ACM SIGSAC conference on computer and communications security (CCS), 2016.
[150]
Victor van der Veen, Martina Lindorfer, Yanick Fratantonio, Harikrishnan Padmanabha Pillai, Giovanni Vigna, Christopher Kruegel, Herbert Bos, and Kaveh Razavi. GuardION: Practical Mitigation of DMA-based Rowhammer Attacks on ARM. In International Conference on Detection of Intrusions and Malware, and Vulnerability Assessment (DIMVA), 2018.
[151]
Kirtana Venkatraman. Virtual Machine Memory Allocation and Placement on Azure Stack. Microsoft Azure, 2019.
[152]
Vish Viswanathan, Karthik Kumar, Thomas Willhalm, Patrick Lu, Blazej Filipiak, and Sri Sakthivelu. Intel Memory Latency Checker v3.9a. Intel, 2021.
[153]
VMware. Using NUMA Systems with ESXi. VMware Docs, 2022.
[154]
Yao Wang, Andrew Ferraiuolo, and G Edward Suh. Timing Channel Protection for a Shared Memory Controller. In IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE, 2014.
[155]
Yaohua Wang, Lois Orosa, Xiangjun Peng, Yang Guo, Saugata Ghose, Minesh Patel, Jeremie S. Kim, Juan G�mez-Luna, Mohammad Sadrosadati, Nika Mansouri-Ghiasi, and Onur Mutlu. FIGARO: Improving System Performance via Fine-Grained In-DRAM Data Relocation and Caching. In ACM/IEEE International Symposium on Microarchitecture (MICRO), 2020.
[156]
Zicheng Wang. Can "Micro VM" Become the Next Generation Computing Platform?: Performance Comparison Between Light Weight Virtual Machine, Container, and Traditional Virtual Machine. In IEEE International Conference on Computer Science, Artificial Intelligence and Electronic Engineering (CSAIEE), 2021.
[157]
Johannes Weiner, Niket Agarwal, Dan Schatzberg, Leon Yang, Hao Wang, Blaise Sanouillet, Bikash Sharma, Tejun Heo, Mayank Jain, Chunqiang Tang, and Dimitrios Skarlatos. TMO: Transparent Memory Offloading in Datacenters. In International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2022.
[158]
Frederic Weisbecker. Status of Linux Dynticks. In Workshop on Operating Systems Platforms for Embedded Real-Time applications-OSPERT13. Citeseer, 2013.
[159]
Minbok Wi, Jaehyun Park, Seoyoung Ko, Michael Jaemin Kim, Nam Sung Kim, Eojin Lee, and Jung Ho Ahn. SHADOW: Preventing Row Hammer in DRAM with Intra-Subarray Row Shuffling. In IEEE International Symposium on High Performance Computer Architecture (HPCA), 2023.
[160]
Jeonghyun Woo, Gururaj Saileshwar, and Prashant J Nair. Scalable and Secure Row-Swap: Efficient and Safe Row Hammer Mitigation in Memory Systems. In IEEE International Symposium on High Performance Computer Architecture (HPCA), 2023.
[161]
Xin-Chuan Wu, Timothy Sherwood, Frederic T Chong, and Yanjing Li. Protecting Page Tables from Rowhammer Attacks Using Monotonic Pointers in DRAM True-Cells. In International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2019.
[162]
Xen. Xen on NUMA Machines. Xen Project Wiki, 2015.
[163]
Yuan Xiao, Xiaokuan Zhang, Yinqian Zhang, and Radu Teodorescu. One Bit Flips, One Cloud Flops: Cross-VM Row Hammer Attacks and Privilege Escalation. In USENIX Security Symposium (USENIX Security), 2016.
[164]
Abdullah Giray Yağlıkçı, Jeremie S Kim, Fabrice Devaux, and Onur Mutlu. Security Analysis of the Silver Bullet Technique for RowHammer Prevention. arXiv preprint arXiv:2106.07084, 2021.
[165]
Abdullah Giray Yağlıkçı, Haocong Luo, Geraldo F De Oliviera, Ataberk Olgun, Minesh Patel, Jisung Park, Hasan Hassan, Jeremie S Kim, Lois Orosa, and Onur Mutlu. Understanding RowHammer Under Reduced Wordline Voltage: An Experimental Study Using Real DRAM Devices. In IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), 2022.
[166]
Abdullah Giray Yağlıkçı, Ataberk Olgun, Minesh Patel, Haocong Luo, Hasan Hassan, Lois Orosa, Oğuz Ergin, and Onur Mutlu. HiRA: Hidden Row Activation for Reducing Refresh Latency of Off-the-Shelf DRAM Chips. In ACM/IEEE International Symposium on Microarchitecture (MICRO), 2022.
[167]
Abdullah Giray Yağlıkçı, Minesh Patel, Jeremie S. Kim, Roknoddin Azizi, Ataberk Olgun, Lois Orosa, Hasan Hassan, Jisung Park, Konstantinos Kanellopoulos, Taha Shahroodi, Saugata Ghose, and Onur Mutlu. BlockHammer: Preventing RowHammer at Low Cost by Blacklisting Rapidly-Accessed DRAM Rows. In IEEE International Symposium on High Performance Computer Architecture (HPCA), 2021.
[168]
Yuval Yarom, Qian Ge, Fangfei Liu, Ruby B Lee, and Gernot Heiser. Mapping the Intel Last-Level Cache. Cryptology ePrint Archive, 2015.
[169]
Jung Min You and Joon-Sung Yang. MRLoc: Mitigating Rowhammering Based on Memory Locality. In ACM/IEEE Design Automation Conference (DAC), 2019.
[170]
Xusheng Zhan, Yungang Bao, Christian Bienia, and Kai Li. PARSEC 3.0: A Multicore Benchmark Suite with Network Stacks and SPLASH-2X. ACM SIGARCH Computer Architecture News (CAN), 2017.
[171]
Zhao Zhang, Zhichun Zhu, and Xiaodong Zhang. A Permutation-Based Page Interleaving Scheme to Reduce Row-Buffer Conflicts and Exploit Data Locality. In ACM/IEEE International Symposium on Microarchitecture (MICRO), 2000.
[172]
Zhi Zhang, Yueqiang Cheng, Dongxi Liu, Surya Nepal, Zhi Wang, and Yuval Yarom. PThammer: Cross-User-Kernel-Boundary Rowhammer Through Implicit Accesses. In ACM/IEEE International Symposium on Microarchitecture (MICRO), 2020.
[173]
Zhi Zhang, Yueqiang Cheng, Minghua Wang, Wei He, Wenhao Wang, Surya Nepal, Yansong Gao, Kang Li, Zhe Wang, and Chenggang Wu. SoftTRR: Protect Page Tables against Rowhammer Attacks using Software-only Target Row Refresh. In USENIX Annual Technical Conference (ATC), 2022.
[174]
Ziyuan Zhang, Meiqi Wang, Wencheng Chen, Han Qiu, and Meikang Qiu. Mitigating Targeted Bit-Flip Attacks via Data Augmentation: An Empirical Study. In International Conference on Knowledge Science, Engineering and Management, 2022.
[175]
Kaiyang Zhao, Kaiwen Xue, Ziqi Wang, Dan Schatzberg, Leon Yang, Antonis Manousis, Johannes Weiner, Rik Van Riel, Bikash Sharma, Chunqiang Tang, and Dimitrios Skarlatos. Contiguitas: The Pursuit of Physical Memory Contiguity in Datacenters. In ACM/IEEE Annual International Symposium on Computer Architecture (ISCA), 2023.
[176]
Ranyang Zhou, Sabbir Ahmed, Adnan Siraj Rakin, and Shaahin Angizi. DNN-Defender: An in-DRAM Deep Neural Network Defense Mechanism for Adversarial Weight Attack. arXiv preprint arXiv:2305.08034, 2023.
[177]
Yanqi Zhou, Sameer Wagh, Prateek Mittal, and David Wentzlaff. Camouflage: Memory Traffic Shaping to Mitigate Timing Attacks. In IEEE International Symposium on High Performance Computer Architecture (HPCA), 2017.

Cited By

View all
  • (2024)DRAMScope: Uncovering DRAM Microarchitecture and Characteristics by Issuing Memory Commands2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA)10.1109/ISCA59077.2024.00083(1097-1111)Online publication date: 29-Jun-2024
  • (2024)MIMDRAM: An End-to-End Processing-Using-DRAM System for High-Throughput, Energy-Efficient and Programmer-Transparent Multiple-Instruction Multiple-Data Computing2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA57654.2024.00024(186-203)Online publication date: 2-Mar-2024

Index Terms

  1. Siloz: Leveraging DRAM Isolation Domains to Prevent Inter-VM Rowhammer
        Index terms have been assigned to the content through auto-classification.

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Conferences
        SOSP '23: Proceedings of the 29th Symposium on Operating Systems Principles
        October 2023
        802 pages
        ISBN:9798400702297
        DOI:10.1145/3600006
        Permission to make digital or hard copies of part or all of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for third-party components of this work must be honored. For all other uses, contact the owner/author(s).

        Sponsors

        In-Cooperation

        • USENIX

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        Published: 23 October 2023

        Check for updates

        Badges

        Author Tags

        1. DRAM disturbances
        2. DRAM isolation domains
        3. DRAM subarrays
        4. rowhammer
        5. security

        Qualifiers

        • Research-article

        Funding Sources

        Conference

        SOSP '23
        Sponsor:

        Acceptance Rates

        SOSP '23 Paper Acceptance Rate 43 of 232 submissions, 19%;
        Overall Acceptance Rate 131 of 716 submissions, 18%

        Upcoming Conference

        SOSP '24

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)516
        • Downloads (Last 6 weeks)37
        Reflects downloads up to 16 Oct 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2024)DRAMScope: Uncovering DRAM Microarchitecture and Characteristics by Issuing Memory Commands2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA)10.1109/ISCA59077.2024.00083(1097-1111)Online publication date: 29-Jun-2024
        • (2024)MIMDRAM: An End-to-End Processing-Using-DRAM System for High-Throughput, Energy-Efficient and Programmer-Transparent Multiple-Instruction Multiple-Data Computing2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA57654.2024.00024(186-203)Online publication date: 2-Mar-2024

        View Options

        Get Access

        Login options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media