TOTAL: Multi-Corners Timing Optimization Based on Transfer and Active Learning

WW Xing, Z Xing, R Lu, Z Wang, N Xu… - 2023 60th ACM/IEEE …, 2023 - ieeexplore.ieee.org
WW Xing, Z Xing, R Lu, Z Wang, N Xu, Y Cheng, W Zhao
2023 60th ACM/IEEE Design Automation Conference (DAC), 2023ieeexplore.ieee.org
In modern advanced integrated circuit design, a design normally needs to be progressively
optimized until the static timing analysis (STA) of full process corners meets the timing
constraints. To improve efficiency, using machine learning to predict the path timings directly
in order to reduce the extensive time-consuming SPICE simulations has become a
promising technique to approach fast design closure. However, current methods lack both
flexibility and reliability to be used in a practical industrial environment. To resolve these …
In modern advanced integrated circuit design, a design normally needs to be progressively optimized until the static timing analysis (STA) of full process corners meets the timing constraints. To improve efficiency, using machine learning to predict the path timings directly in order to reduce the extensive time-consuming SPICE simulations has become a promising technique to approach fast design closure. However, current methods lack both flexibility and reliability to be used in a practical industrial environment. To resolve these challenges, we propose TOTAL, which is constructed using a generalized linear model with latent features to effectively capture knowledge transferred from previous designs and delivers state-of-the-art (SOTA) prediction accuracy that is up to 6.6x improvement over the competitors in terms of mean absolute error (MAE). Most importantly, TOTAL is equipped with a Bayesian decision strategy to actively update uncertain predictions and deliver reliable predictions with accuracy close to 100%, pushing the frontier of the machine-learning-based STA for practical implementation.
ieeexplore.ieee.org