skip to main content
research-article

Postsilicon Validation Methodology for Microprocessors

Published: 01 October 2000 Publication History

Abstract

This paper presents a systematic methodology for microarchitecture focused postsilicon system validation in the context of IA-32 Intel microprocessors. The need for a rigorous microarchitecture focused postsilicon validation is first established after analyzing presilicon and postsilicon validation techniques that CPU manufacturers have primarily used. The proposed methodology targets microarchitectural attributes that are prioritized based on their criticality for validation to identify corner cases. Several test templates cover all the critical attributes. Each template has an algorithm covering a subset of the attributes and automatically generates many tests by parameterizing around the corner case. Examples of application of the methodology are presented. Tests based on prioritized attributes help in narrowing the search of the huge validation space for complex logic-interaction type bugs in the early phase of silicon validation. The important issues in design and deployment of such tests are described and our solutions are discussed.

References

[1]
M. Kantrowitz and L. Noack, "Functional Verification of a Multiple-issue, Pipelined, Superscalar Alpha Processor—the Alpha 21164 CPU Chip," Digital Technical J., Vol. 7, No. 1, 1995, pp. 136-143.
[2]
M. Kantrowitz and L. Noack, "I am Done Simulating: Now What? Verification Coverage Analysis and Correctness Checking of the DECchip 21164 Alpha microprocessor," Proc. 33rd Design Automation Conf., DAC'96, IEEE Piscataway, N.J., pp. 325-330.
[3]
W. Anderson, "Logical Verification of the NVAX CPU Chip Design," Digital Technical J., Vol. 4, No. 3, Summer 1992, pp 38-46.
[4]
G. Bischoff, et al., "Formal Implementation Verification of the Bus Interface Unit for the Alpha 21264 Microprocessor," Proc. Int'l Conf. Computer Design, IEEE, Piscataway, N.J., 1997, pp 16-24.
[5]
J. Zurawski J. Murray and P. Lemmon, "The Design and Verification of the AlphaStation 600 5-Series Workstation," Digital Technical J., Vol. 7, No. 1, 1995, pp. 89-99
[6]
A. Aharon, et al., "Verification of the IBM RISC System/6000 by a Dynamic Biased Pseudo-random Generator," IBM Systems J., Vol. 30, No. 4, 1991, pp. 527-538.
[7]
A. Aharon, et al., "Test Program Generation for Functional Verification of PowerPC processors in IBM," Proc. 32rd Design Automation Conf., IEEE, Piscataway, N.J., pp 279-285.
[8]
D. Marr, et al., "Multiprocessor Validation of the Pentium Pro Microprocessor," Computer, Vol. 29, No. 11, Nov. 1996, pp 47-53.
[9]
S. Mehta, et al., "Verification of the UltraSPARC Microprocessor," Proc. COMPCON, IEEE, Piscataway, N.J., 1995, pp. 452-461.
[10]
A. Ahi, et al., "Design Verification of the HP 9000 Series 700 PA-RISC Workstations," Hewlett-Packard J., Aug. 1992, pp. 34-42.
[11]
M. Bass, et al., "Design Methodologies for the PA 7100LC Microprocessor," Hewlett Packard J., Vol 46, No. 2, Apr. 1995, pp. 23-35.
[12]
R. Raina and R. Molyneaux, "Random Self-test Method Applications on PowerPCTM microprocessor caches," Proc. IEEE Great Lakes Symposium on VLSI, IEEE Comp. Soc., Los Alamitos, Calif., pp. 222-229.
[13]
J. Monaco D. Holloway and R. Raina, "Functional Verification Methodology for the PowerPC 604 Microprocessor," Proc. 33rd Design Automation Conf., IEEE, Piscataway, N.J., pp. 319-324.
[14]
A. Hosseini D. Mavroidis and P. Konas, "Code Generation and Analysis for the Functional Verification of Microprocessors," Proc. 33rd Design Automation Conf., IEEE, Piscataway, N.J., pp. 305-310.
[15]
R. Ho C. Yang M. Horowitz and D.L. Dill, "Architecture Validation for Processors," Proc. Int'l Symp. Computer Arch. (ISCA), IEEE, Piscataway, N.J., 1995, pp 403-413.
[16]
D. Brahme and J. Abraham, "Functional Testing of Microprocessors," IEEE Trans. Computers, Vol. C-33, 1984, pp. 475-485.
[17]
S.M. Thatte and J. Abraham, "Test Generation for Microprocessors," IEEE Trans. Computers, Vol. C-29, 1980, pp. 429-441.
[18]
J. Shen and J. Abraham, "Native Mode Functional Test Generation for Processors with Applications to Self Test and Design Validation", Proc. 1998 Int'l Test Conf., ACM, N.Y., pp 990-999.
[19]
S. Ur and Y. Yadin, "Micro Architecture Coverage Directed Generation of Test Programs," Proc. of the 36th Design Automation Conf., June 1999, ACM, N.Y., pp. 175-180.

Cited By

View all
  • (2017)Hardware is the new SoftwareProceedings of the 16th Workshop on Hot Topics in Operating Systems10.1145/3102980.3103002(132-137)Online publication date: 7-May-2017
  • (2015)Virtual CPU validationProceedings of the 25th Symposium on Operating Systems Principles10.1145/2815400.2815420(311-327)Online publication date: 4-Oct-2015
  • (2014)Post-silicon platform for the functional diagnosis and debug of networks-on-chipACM Transactions on Embedded Computing Systems10.1145/256793613:3s(1-25)Online publication date: 28-Mar-2014
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image IEEE Design & Test
IEEE Design & Test  Volume 17, Issue 4
October 2000
116 pages

Publisher

IEEE Computer Society Press

Washington, DC, United States

Publication History

Published: 01 October 2000

Qualifiers

  • Research-article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 17 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2017)Hardware is the new SoftwareProceedings of the 16th Workshop on Hot Topics in Operating Systems10.1145/3102980.3103002(132-137)Online publication date: 7-May-2017
  • (2015)Virtual CPU validationProceedings of the 25th Symposium on Operating Systems Principles10.1145/2815400.2815420(311-327)Online publication date: 4-Oct-2015
  • (2014)Post-silicon platform for the functional diagnosis and debug of networks-on-chipACM Transactions on Embedded Computing Systems10.1145/256793613:3s(1-25)Online publication date: 28-Mar-2014
  • (2013)Deconfigurable microprocessor architectures for silicon debug accelerationACM SIGARCH Computer Architecture News10.1145/2508148.248597641:3(631-642)Online publication date: 23-Jun-2013
  • (2013)Deconfigurable microprocessor architectures for silicon debug accelerationProceedings of the 40th Annual International Symposium on Computer Architecture10.1145/2485922.2485976(631-642)Online publication date: 23-Jun-2013
  • (2011)Accelerating microprocessor silicon validation by exposing ISA diversityProceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/2155620.2155666(386-397)Online publication date: 3-Dec-2011
  • (2011)ThreadmillProceedings of the 48th Design Automation Conference10.1145/2024724.2024916(860-865)Online publication date: 5-Jun-2011
  • (2011)Leveraging pre-silicon verification resources for the post-silicon validation of the IBM POWER7 processorProceedings of the 48th Design Automation Conference10.1145/2024724.2024856(569-574)Online publication date: 5-Jun-2011
  • (2010)Reaching coverage closure in post-silicon validationProceedings of the 6th international conference on Hardware and software: verification and testing10.5555/1987082.1987092(60-75)Online publication date: 4-Oct-2010
  • (2010)Post-silicon debugging for multi-core designsProceedings of the 2010 Asia and South Pacific Design Automation Conference10.5555/1899721.1899776(255-258)Online publication date: 18-Jan-2010

View Options

View options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media