skip to main content
10.1145/2903150.2903171acmconferencesArticle/Chapter ViewAbstractPublication PagescfConference Proceedingsconference-collections
research-article

Area-energy tradeoffs of logic wear-leveling for BTI-induced aging

Published: 16 May 2016 Publication History

Abstract

Ensuring operational reliability in the presence of Bias Temperature Instability (BTI) effects often results in a compromise either in the form of lower performance and/or higher energy-consumption. This is due to the performance degradation over time caused by BTI effects which needs to be compensated through frequency, voltage, or area margining to meet the circuit's timing specification till end of operational lifetime. In this paper, a circuit-level approach referred to as Logic-Wear-Leveling (LWL) utilizes Dark-Silicon to mitigate BTI effects in logic datapaths. LWL introduces fine-grained spatial redundancy in timing vulnerable logic components, and leverages it at runtime to enable post-Silicon adaptability. The activation interval of redundant datapaths allows for controlled stress and recovery phases. This produces a wear-leveling effect which helps to reduce the BTI induced performance degradation over time, which in turn helps to reduce the design margins. This approach demonstrates a significant reduction in energy consumption of up to 31.98% at 10 years as compared to conventional voltage guardbanding approach. The benefit of energy reduction is also assessed against the area overheads of spatial redundancy.

References

[1]
X. Bai et al., "Uncertainty-aware circuit optimization," in 39th Design Automation Conference, 2002.
[2]
A. Calimera et al., "NBTI-aware power gating for concurrent leakage and aging optimization," Low Power Electronics and Design, International Symposium on, pp. 127--132, 2009.
[3]
T.-B. Chan et al., "On the efficacy of NBTI mitigation techniques," in Design, Automation Test in Europe Conference Exhibition (DATE), 2011.
[4]
J. Chen et al., "Efficient selection and analysis of critical-reliability paths and gates," in Proceedings of the Great Lakes Symposium on VLSI, ser. GLSVLSI '12, 2012, pp. 45--50.
[5]
M. Ebrahimi et al., "Aging-aware logic synthesis," in Proceedings of the International Conference on Computer-Aided Design, ser. ICCAD '13, 2013, pp. 61--68.
[6]
F. Firouzi et al., "Representative critical-path selection for aging-induced delay monitoring," in Test Conference (ITC), 2013 IEEE International, Sept 2013, pp. 1--10.
[7]
G. Hoang et al., "Exploring circuit timing-aware language and compilation," SIGPLAN Not., vol. 47, no. 4, pp. 345--356, 2011.
[8]
S. Kumar et al., "Adaptive techniques for overcoming performance degradation due to aging in CMOS circuits," Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol. 19, no. 4, pp. 603--614, 2011.
[9]
S. Li et al., "McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures," in 42nd IEEE/ACM International Symposium on Microarchitecture, Dec 2009.
[10]
F. Oboril et al., "Extratime: Modeling and analysis of wearout due to transistor aging at microarchitecture-level," in 42nd IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), June 2012.
[11]
J. Shin et al., "A proactive wearout recovery approach for exploiting microarchitectural redundancy to extend cache sram lifetime," in 35th International Symposium on Computer Architecture, ISCA '08, June 2008, pp. 353--362.
[12]
Y. Shin et al., "Power gating: Circuits, design methodologies, and best practice for standard-cell VLSI designs," ACM Trans. Des. Autom. Electron. Syst., vol. 15, no. 4, 2010.
[13]
J. Srinivasan et al., "Exploiting structural duplication for lifetime reliability enhancement," in 32nd International Symposium on Computer Architecture, ISCA '05, June 2005.
[14]
D. Sylvester et al., "Computer-aided design for low-power robust computing in nanoscale CMOS," Proceedings of the IEEE, vol. 95, no. 3, pp. 507--529, March 2007.
[15]
M. Taylor, "A landscape of the new dark silicon design regime," Micro, IEEE, vol. 33, no. 5, pp. 8--19, 2013.
[16]
B. Tudor et al., "MOS Device Aging Analysis with HSPICE and CustomSim," Synopsys, Tech. Rep., 08 2011.
[17]
B. Vaidyanathan et al., "Technology scaling effect on the relative impact of NBTI and process variation on the reliability of digital circuits," Device and Materials Reliability, IEEE Transactions on, vol. 12, no. 2, pp. 428--436, 2012.
[18]
J. Velamala et al., "Physics matters: Statistical aging prediction under trapping/detrapping," in 49th ACM/EDAC/IEEE Design Automation Conference, 2012.
[19]
W. Wang et al., "The impact of NBTI effect on combinational circuit: Modeling, simulation, and analysis," Very Large Scale Integration Systems, IEEE Trans. on, vol. 18, no. 2, 2010.
[20]
K.-C. Wu et al., "Analysis and mitigation of NBTI-induced performance degradation for power-gated circuits," in Intl. Sym. on Low Power Electronics and Design, 2011.
[21]
X. Yang et al., "Combating NBTI degradation via gate sizing," in 8th Intl. Sym. on Quality Electronic Design, 2007.
[22]
W. Zhao et al., "New generation of predictive technology model for sub-45nm design exploration," in Proceedings of the 7th International Symposium on Quality Electronic Design, 2006.

Cited By

View all
  • (2023)Degradation Measurement and Modelling under Ageing in a 16 nm FinFET FPGAMicromachines10.3390/mi1501001915:1(19)Online publication date: 22-Dec-2023
  • (2019)MAGIC: A Wear-leveling Circuitry to Mitigate Aging Effects in Sense Amplifiers of SRAMs2019 17th IEEE International New Circuits and Systems Conference (NEWCAS)10.1109/NEWCAS44328.2019.8961241(1-4)Online publication date: Jun-2019
  • (2019)Introduction to WearoutCircadian Rhythms for Future Resilient Electronic Systems10.1007/978-3-030-20051-0_1(3-14)Online publication date: 13-Jun-2019
  • Show More Cited By

Index Terms

  1. Area-energy tradeoffs of logic wear-leveling for BTI-induced aging

    Recommendations

    Reviews

    Xinfei Guo

    As technology nodes are scaled down into the nanometer level, the reliability of the devices for an electronic chip becomes critical. Among various reliability threats, aging is one of the most prominent, as it introduces gradual parameter and metric (like performance) degradation, and eventually failures. Bias temperature instability (BTI) is a dominant aging issue that shifts the threshold voltage of the transistors when under voltage stress; when the voltage is removed, there is a slow recovery process. The longer the recovery process, the less cumulative aging will be. Various previous solutions aim to tune certain metrics to deal with aging, such as balancing the signal probability or adding guardband. But none of the solutions are perfect; additional overhead will still be introduced. One such tradeoff is usually energy and aging; by fixing aging issues, more energy needs to be consumed. In this paper, Ashraf et al. propose a novel idea of dealing with aging at the circuit level by replicating the critical paths or near-critical paths. By taking advantage of such redundancy and switching between the replicated paths, the overall aging can be leveraged, thus the guardband can be reduced. Although the proposed solution will affect the area overhead, the paper presents a detailed evaluation and shows the area overhead is minimal if only replicating several critical path candidates. A design flow that can be adapted to the existing electronic design automation (EDA) tools is also proposed. The reported experimental results show that the guardband is significantly reduced; this is translated directly to the energy savings (about 31.98 percent). The paper also considers the area and energy tradeoff, and the conclusion is that the approach achieves very low area overhead while getting huge energy savings. This paper shows a circuit-level implementation of coping with reliability by utilizing redundancy. It presents a very comprehensive analysis of various tradeoffs. While further study can be done, such as fanout, leakage issues and the added design efforts are some aspects that can still be addressed. Also, if dark silicon can be used together with the proposed circuit solution, there will be more improvements. Online Computing Reviews Service

    Access critical reviews of Computing literature here

    Become a reviewer for Computing Reviews.

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    CF '16: Proceedings of the ACM International Conference on Computing Frontiers
    May 2016
    487 pages
    ISBN:9781450341288
    DOI:10.1145/2903150
    • General Chairs:
    • Gianluca Palermo,
    • John Feo,
    • Program Chairs:
    • Antonino Tumeo,
    • Hubertus Franke
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 16 May 2016

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. HCI
    2. NBTI/PBTI
    3. dark silicon
    4. dependable systems
    5. design space exploration
    6. performance degradation
    7. reliability

    Qualifiers

    • Research-article

    Conference

    CF'16
    Sponsor:
    CF'16: Computing Frontiers Conference
    May 16 - 19, 2016
    Como, Italy

    Acceptance Rates

    CF '16 Paper Acceptance Rate 30 of 94 submissions, 32%;
    Overall Acceptance Rate 273 of 785 submissions, 35%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)4
    • Downloads (Last 6 weeks)1
    Reflects downloads up to 17 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)Degradation Measurement and Modelling under Ageing in a 16 nm FinFET FPGAMicromachines10.3390/mi1501001915:1(19)Online publication date: 22-Dec-2023
    • (2019)MAGIC: A Wear-leveling Circuitry to Mitigate Aging Effects in Sense Amplifiers of SRAMs2019 17th IEEE International New Circuits and Systems Conference (NEWCAS)10.1109/NEWCAS44328.2019.8961241(1-4)Online publication date: Jun-2019
    • (2019)Introduction to WearoutCircadian Rhythms for Future Resilient Electronic Systems10.1007/978-3-030-20051-0_1(3-14)Online publication date: 13-Jun-2019
    • (2017)Contemporary CMOS aging mitigation techniquesIntegration, the VLSI Journal10.1016/j.vlsi.2017.03.01359:C(10-22)Online publication date: 1-Sep-2017

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media