Saltar para o conteúdo

ASML (empresa)

Origem: Wikip�dia, a enciclop�dia livre.
(Redirecionado de ASML)
ASML
ASML (empresa)
Raz�o social ASML Holding N.V.
Empresa de capital aberto
Cota��o Euronext: ASML, NASDAQASML
Atividade Semicondutores
Funda��o 1984 (40 anos)
Sede Veldhoven, Prov�ncia de Brabante do Norte,  Pa�ses Baixos
Pessoas-chave Peter Wennink (CEO)
Empregados 42 000 (2023)[1]
Produtos Sistemas de fotolitografia
Lucro Baixa EUR 1,146 bilh�es (2012)
Faturamento Baixa EUR 4,731 bilh�es (2012)[2]
Website oficial http://www.asml.com

ASML Holding (comumente abreviada para ASML, originalmente significando Advanced Semiconductor Materials Lithography)[3] � uma empresa multinacional holandesa e o maior fornecedor de sistemas de litografia para a ind�stria de semicondutores. A empresa fabrica m�quinas para a produ��o de circuitos integrados, tais como RAM, chips de mem�ria flash e CPU.

A companhia foi fundada em 1984 ap�s um empreendimento conjunto entre a Philips e a Advanced Semiconductor Materials International (ASMI), atualmente conhecida como ASM International (ASM),[4] em 1994 a Philips vendeu sua participa��o na empresa.[5]

A ASML � especializada no desenvolvimento e fabrica��o de m�quinas de fotolitografia usadas para produzir chips de computador. A partir de 2022, � o maior fornecedor para a ind�stria de semicondutores e o �nico fornecedor no mundo de m�quinas de fotolitografia de ultravioleta extremo (EUV) usadas para fabricar os chips mais avan�ados. Em 2023, a ASML era a empresa de tecnologia europeia mais valorizada por capitaliza��o de mercado, com cerca de 270 bilh�es.[6][7]

Atualmente, emprega mais de 42 mil pessoas.[1] A ASML tem uma base mundial de clientes e mais de 60 pontos de servi�o em 16 pa�ses, al�m de escrit�rios na Holanda, Estados Unidos, B�lgica, Fran�a, Alemanha, Irlanda, Israel, It�lia, Reino Unido, China, Hong Kong, Jap�o, Coreia do Sul, Mal�sia, Cingapura e Taiwan.[8] A empresa est� listada nas Bolsas de Valores AEX e NASDAQ e faz parte do Euro Stoxx 50[9] e do NASDAQ-100.[10]

A empresa, originalmente denominada ASM Lithography, � denominada ASML, como seu nome oficial e n�o uma abreviatura e foi fundada em 1984 como uma joint venture entre as empresas holandesas ASM International (Advanced Semiconductor Materials International) e a Philips . Hoje � uma empresa p�blica. Quando a empresa se tornou independente em 1988, foi decidido que mudar o nome n�o era desej�vel, e a abreviatura ASML tornou-se o nome oficial da empresa. Em 1997, a ASML come�ou a estudar uma mudan�a para o uso de ultravioleta extremo e, em 1999, juntou-se a um cons�rcio de pesquisa que inclu�a a Intel, dois outros fabricantes de chips dos EUA, bem como o Departamento de Energia dos EUA . Colaborou com as belgas Imec e Sematech e recorreu � Zeiss na Alemanha para a sua necessidade de espelhos.[11]

Em 2000, a ASML adquiriu o Silicon Valley Group (SVG), fabricante de equipamentos de litografia dos Estados Unidos, em uma oferta para fornecer scanners de 193 nm para a Intel Corp. No final de 2008, a ASML experimentou uma grande queda nas vendas, o que levou a administra��o a cortar a for�a de trabalho em cerca de 1.000 em todo o mundo, principalmente trabalhadores contratados e a solicitar apoio do fundo nacional de desemprego holand�s para evitar demiss�es ainda maiores. Dois anos e meio depois, a ASML esperava uma receita recorde.[11]

Em julho de 2012, a Intel anunciou um acordo para investir $4,1 bilh�es em ASML em troca de 15% de propriedade, a fim de acelerar a transi��o de wafers de 300 mm para 450 mm e maior desenvolvimento da litografia EUV. Este neg�cio n�o tinha direitos exclusivos para futuros produtos da ASML e, em julho de 2012, a ASML estava oferecendo outros 10% das a��es para outras empresas. Como parte de sua estrat�gia EUV, a ASML anunciou a aquisi��o do fabricante de fontes DUV e EUV Cymer em outubro de 2012.[11]

Em novembro de 2013, a ASML interrompeu o desenvolvimento de equipamentos de litografia de 450 mm, citando o momento incerto da demanda do fabricante de chips. Em 2015, a ASML sofreu roubo de propriedade intelectual . V�rios funcion�rios foram encontrados roubando dados confidenciais de sua subsidi�ria de software do Vale do Sil�cio, que desenvolve software para otimiza��o de m�quinas. Em junho de 2016, a ASML anunciou seus planos de adquirir a Hermes Microvision Inc. com sede em Taiwan por cerca de US$ 3,1 bilh�es para adicionar tecnologia para a cria��o de semicondutores menores e mais avan�ados.[11]

Em 2018, o governo Trump tentou bloquear a venda da tecnologia ASML para a China, mas a partir de 2021, a escassez global de chips em 2020-presente, bem como a "guerra fria tecnol�gica" entre os EUA e a China tem sido um problema comercial/oportunidade para ASML. Em novembro de 2020, a ASML revelou que havia adquirido a empresa alem� de fabrica��o de vidro �ptico Berliner Glas Group para atender � crescente necessidade de componentes para seus sistemas EUV.[11]

Em julho de 2021, o comiss�rio europeu Thierry Breton visitou a ASML e anunciou uma meta de pelo menos 20% da produ��o mundial de semicondutores na Europa at� 2030 e apoio por meio de uma alian�a europeia em semicondutores . Depois de relatar os ganhos em julho de 2021, a empresa disse que tinha quase o monop�lio das m�quinas usadas pela TSMC e pela Samsung Electronics para fabricar os chips avan�ados. Em fevereiro de 2023, a ASML alegou que um ex-funcion�rio na China roubou informa��es sobre a tecnologia da empresa. Esta n�o foi a primeira vez que a ASML foi supostamente ligada a uma viola��o de propriedade intelectual ligada � China, e esta �ltima viola��o ocorreu no meio da guerra comercial EUA-China, tamb�m chamada de "guerra do chip".[11]

A ASML produz as m�quinas de fotolitografia usadas na produ��o de chips de computador. Nessas m�quinas, os padr�es s�o visualizadas opticamente em um wafer de sil�cio coberto com um filme de material sens�vel � luz (fotorresistente). Este procedimento � repetido dezenas de vezes em um �nico wafer. O fotoresistor � ent�o processado para criar os circuitos eletr�nicos reais no sil�cio. A imagem �ptica com a qual as m�quinas da ASML lidam � usada na fabrica��o de quase todos os circuitos integrados e, em 2011, a ASML detinha 67% das vendas mundiais de m�quinas de litografia. A competi��o da ASML consistia na concorr�ncia com as seguintes empresas: Ultratech, Canon and Nikon, MKS Instruments, Lam Research and Cadence Design Systems.[12]

Litografia de imers�o

[editar | editar c�digo-fonte]

Desde que a litografia de imers�o foi desenvolvida por Burn-Jeng Lin, A ASML cooperou com a Taiwan Semiconductor Manufacturing (TSMC). Em 2004, a TSMC iniciou a produ��o comercial de n�s semicondutores de 90 nan�metros usando litografia de imers�o ASML. A partir de 2011, seu sistema TWINSCAN NXT:1950i de ponta foi usado para produzir recursos de at� 32 nan�metros a at� 200 wafers por hora, usando uma lente de imers�o em �gua e um laser de fluoreto de arg�nio que produz luz em um comprimento de onda de 193 nm. A partir de 2011, uma m�quina de litografia m�dia custa 27 milh�es de euros.[13]

Litografia DUV

[editar | editar código-fonte]

Os dispositivos de litografia ultravioleta profunda (DUV) da ASML usam luz que penetra no espectro UV para imprimir os minúsculos recursos que formam a estrutura do microchip. Em 2009, o centro de pesquisa IMEC na Bélgica produziu as primeiras células funcionais de memória de acesso aleatório CMOS estático de 22 nm do mundo com um protótipo de máquina de litografia EUV. Em 2011, máquinas EUV produzidas em série (não protótipos) foram lançadas.[14]

Litografia EUV

[editar | editar código-fonte]

A ASML produziu máquinas de litografia ultravioleta extrema que produzem luz na faixa de comprimento de onda de 13,3–13,7 nm quando um laser de alta energia é focado em gotículas microscópicas de estanho fundido para produzir um plasma, que então emite luz EUV. Em 2021, seu produto mais vendido foi o Twinscan NXE: 3600D, usando EUV em 13,5 nm; custando 144 milhões de euros, ou 50 milhões de euros; transportar a máquina requer 40 contêineres, 20 caminhões e três Boeing 747. O objetivo é fabricar os semicondutores mais avançados abaixo de 5 nm em direção a 2 nm.[15]

Litografia de nanoimpressão

[editar | editar código-fonte]

Além da litografia baseada em imersão e litografia EUV, a ASML possui um portfólio substancial de propriedade intelectual que abrange litografia de impressão.[16]

  1. a b «ASML 2023 Annual Report (Form 20-F)». US Securities and Exchange Commission. 14 de fevereiro de 2024. Cópia arquivada em 14 de fevereiro de 2024 
  2. http://www.asml.com/doclib/investor/annual_reports/2012/asml_20130213_Annual_Report_on_Form_20-F_2012.pdf
  3. «About ASML - The world's supplier for the semiconductor industry». www.asml.com (em inglês). Consultado em 25 de fevereiro de 2023 
  4. «Cópia arquivada». Consultado em 3 de novembro de 2013. Arquivado do original em 5 de março de 2013 
  5. «Cópia arquivada». Consultado em 3 de novembro de 2013. Arquivado do original em 13 de outubro de 2014 
  6. Tarasov, Katie. «ASML is the only company making the $200 million machines needed to print every advanced microchip. Here's an inside look». CNBC (em inglês). Consultado em 25 de fevereiro de 2023 
  7. «Largest tech companies by market cap». companiesmarketcap.com (em inglês). Consultado em 25 de fevereiro de 2023 
  8. «2022 Combined Annual Report - ASML». ASML. 15 de fevereiro de 2023. Consultado em 9 de abril de 2023. Cópia arquivada em 9 de abril de 2023 
  9. «ASML HOLDING - Euronext exchange Live quotes». Euronext. Consultado em 9 de abril de 2023. Cópia arquivada em 9 de abril de 2023 
  10. «Quotes For Nasdaq-100 Index». Nasdaq. Consultado em 9 de abril de 2023. Cópia arquivada em 25 de dezembro de 2021 
  11. a b c d e f "About ASML: Questions and Answers". ASML Holding. Archived from the original on 28 July 2010. Retrieved 3 August 2010. "ASML: About ASML". 20 February 2014. Archived from the original on 28 July 2010. Retrieved 27 December 2022. Clark, Don (4 July 2021). "The Tech Cold War's 'Most Complicated Machine' That's Out of China's Reach". The New York Times. ISSN 0362-4331. Retrieved 9 November 2022. "ASML drops SVG-developed 193-nm scanner to focus on Twinscan platform | EE Times". Archived from the original on 7 September 2014. Retrieved 7 September 2014. "Intel kills plan to use SVG's 193-nm scanners in production due to delays | EE Times". Archived from the original on 7 September 2014. Retrieved 7 September 2014. ASML Takes Action to Adjust Its Organization Due to Severe Order Slowdown Archived 15 March 2010 at the Wayback Machine Press release. Chip-Equipment Firm ASML Cuts Outlook, Sets Layoffs Archived 25 May 2018 at the Wayback Machine. WSJ.com, 19 December 2008. ASML Sees Record Year Archived 25 May 2018 at the Wayback Machine. The Wall Street Journal, April 2011. ASML wins funds for chip technology from Intel Archived 1 November 2021 at the Wayback Machine. Reuters, 10 July 2012. ASML Announces Customer Co-Investment Program Aimed at Accelerating Innovation Archived 12 July 2012 at the Wayback Machine. ASML Press release, 9 July 2012. "Intel funds next-gen chipmaking, buys into ASML for $4.1 billion". Reuters. 9 July 2012. Archived from the original on 31 July 2020. Retrieved 5 July 2021. [1] Archived 8 March 2014 at the Wayback Machine ASML Press release, 17 October 2012 "ASML 2013 Annual Report Form (20-F)" (XBRL). United States Securities and Exchange Commission. 11 February 2014. Archived from the original on 24 September 2015. Retrieved 27 August 2017. In November 2013, following our customers' decision, ASML decided to pause the development of 450 mm lithography systems until customer demand and the timing related to such demand is clear. Deutsch, Toby Sterling, Anthony (11 April 2019). "ASML says it suffered intellectual property theft, rejects 'Chinese' label". Reuters. Archived from the original on 10 May 2021. Retrieved 26 March 2021. Ian King, Bloomberg. "ASML to Acquire Taiwan's Hermes Microvision for $3.1 Billion Archived 4 September 2016 at the Wayback Machine." 15 June 2016. 16 June 2016. "Trump administration pressed Dutch hard to cancel China chip-equipment sale: sources". Reuters. 6 January 2020. Retrieved 9 November 2022. "ASML acquisition of Berliner Glas Group completed". www.asml.com. Archived from the original on 5 March 2021. Retrieved 26 March 2021. "US-China chip war: ASML says China employee stole data". BBC News. Retrieved 16 February 2023.
  12. ASML: Sustainability - performance and targets Archived 14 August 2018 at the Wayback Machine. ASML.com (2011)
  13. "90 nm Technology". TSMC. 2019. Archived from the original on 26 June 2019. Retrieved 30 June 2019. ASML Enhances NXT:1950i to Meet Challenging Imaging and Overlay Requirements and Provide a Cost Effective Platform for 22nm Archived 5 April 2012 at the Wayback Machine. Press release, 12 July 2011. Third quarter 2011 results Archived 5 April 2012 at the Wayback Machine. ASML press release.
  14. IMEC presents functional 22 nm SRAM cells fabricated using EUV technology Archived 1 June 2010 at the Wayback Machine. IMEC press release, 22 April 2009. Third quarter 2011 results Archived 5 April 2012 at the Wayback Machine. ASML press release.
  15. Christoph G. Schmutz (30 July 2021). "Wie die niederländische Firma ASML in den technologischen kalten Krieg zwischen den USA und China geraten ist". Thierry Breton (21 May 2021). "Inside the future: Europe's plan to thrive in the global microchip race". European Commission website.
  16. U. S. Patents 7618250, 7692771 and U. S. Patent Applications 20070018360, 20100193994.

Ligações externas

[editar | editar código-fonte]